CIRCUITOS COMBINATÓRIOS BÁSICOS

Tamanho: px
Começar a partir da página:

Download "CIRCUITOS COMBINATÓRIOS BÁSICOS"

Transcrição

1 CIRCUITOS COMBINATÓRIOS BÁSICOS T.1 - INTRODUÇÃO A velocidade de um sistema digital depende da velocidade com que operam as portas. A velocidade de uma porta depende do atraso de propagação t pd (propagation-delay time). As portas electrónicas têm tempos de atraso da ordem dos nanossegundos (10-9). 1

2 Cronologia Data Componente Observações até 1955 válvula grandes dimensões e consumo transistor encapsulamento individual desde 1965 circuito integrado encapsulamento de transistores e resistências Nomenclatura SSI - Integração em pequena escala (até 12 portas) MSI - Integração em escala média (12 a 99 portas) LSI - Integração em larga escala (100 a 1000 portas) VLSI - Integração em muito larga escala (1000 a portas) ULSI - Integração em ultra larga escala (mais de portas) 2

3 Circuitos combinatórios - são circuitos cujas saídas dependem apenas das entradas actuais, não tendo relação com a história passada do sistema. T.2 - FAMÍLIAS DE CIRCUITOS LÓGICOS As famílias de circuitos lógicos integrados distinguem-se por: tipo de semicondutor utilizado; forma como os semicondutores são ligados. 3

4 Família CMOS Utiliza transistores CMOS (Complementary Metal- Oxide Semiconductor). É utilizada em SSI, MSI, LSI, VLSI e ULSI. Tem um consumo muito baixo, mas tem tempos de propagação elevados. 4

5 Família ECL (Emitter-Coupled Logic) Utiliza transistores bipolares que têm tempos de propagação mais baixos que os CMOS. É usada em SSI e MSI. É bastante rápida, mas tem consumos mais elevados que a família CMOS. É de difícil utilização devido à sua rapidez. 5

6 Família TTL (Transistor-Transistor Logic) Utiliza transistores bipolares. É a família mais usada para SSI e MSI. Tem duas séries: 54 - para fins militares e 74 - para fins industriais. 6

7 BICMOS (BIPOLAR + CMOS) É uma evolução com integração das famílias de transistores bipolares e CMOS. Tem um baixo consumo e um tempo de resposta baixo. No entanto, ocupa uma área elevada, pelo que não é utilizado em circuitos integrados com grande nível de integração como os microporcessadores. 7

8 T.3 - SÉRIE TTL Pode baixar-se o atraso de propagação t pd de uma porta lógica à custa de um maior consumo de energia, isto é, utilizando transistores que consumam mais potência. A série TTL utiliza transistores bipolares normais - mais lentos, e transistores do tipo Schottky - mais rápidos, em diversas gamas de potência. Características típicas da família 54/74 SSI Série Tipo de transistor e Atraso de Dissipação de Produto velocidadepotência, potência propagação, ns potência, mw pj 54LS/74LS Schottky, baixa potência 9, L/74L Comum, baixa potência S/74S Schottky, potência normal /74 Comum, potência normal H/74H Comum, alta potência

9 Capacidade de saída (fan-out) O fan-out mede a capacidade que a saída de uma porta tem de accionar entradas de outras portas. Cada porta tem uma corrente máxima de saída. Por outro lado, cada porta necessita de uma corrente mínima de entrada para ser accionada. O fan-out dá-nos a indicação de quantas entradas podem ser accionadas por uma única saída. Na família TTL, entre portas da mesma série, o fan-out é 10 para portas das séries standard e de alta potência, e 20 para as séries de baixa potência. Entre séries de famílias diferentes é necessário garantir que as correntes de entrada não superam a corrente de saída. 9

10 Margem de ruído A tensão de alimentação para circuitos da família TTL é 5 V. Isto significa que todas as tensões estão no intervalo de 0 a 5 V. Uma saída que esteja no nível lógico 0 está a drenar corrente das portas às quais está ligada, aumentando a sua tensão de saída (> 0 V). Uma saída que esteja no nível lógico 1 está a fornecer corrente às portas a que está ligada, baixando deste modo a sua tensão de saída (< 5 V). De qualquer modo o fabricante garante que a tensão baixa nunca sobe acima dos 0,4 V e que a tensão alta nunca desce abaixo dos 2,4 V. 10

11 Por outro lado uma tensão menor ou igual a 0,8 V é sempre interpretada como um 0 lógico e uma tensão superior a 2 V é sempre interpretada como um nível lógico 1. Definem-se V OH, V OL, V IH e V IL V OH - tensão mínima de saída que a porta fornece para o nível alto V OL - tensão máxima de saída que a porta fornece para o nível baixo V IH - tensão mínima que a porta reconhece como nível alto V IL - tensão máxima que a porta reconhece como nível baixo 11

12 Na figura seguinte mostra-se o valor destas tensões para a família 54/74. V (saída) V (entrada) VCC VCC=5,0V VOH=2,4V Saída alta 1 Entrada alta VIH=2,0V VOL=0,4V VIL=0,8V <0,2V Saída baixa 0 Entrada baixa Fig 80 12

13 Gráfico de entrada-saída de uma porta TTL para o pior caso VO,V 2, ,4V 0,4 0,8 1,4 2,0 2,4 VI,V Fig 81 13

14 A margem de ruído para o nível 0 é 0=V IL - V OL =0,4V. Esta margem garante que uma saída 0 é sempre reconhecida desde que o ruído não ultrapasse 0=0,4V. De forma idêntica, a margem de ruído para o nível 1 é 1=V IH -V OH =0,4V e garante que uma saída 1 é sempre reconhecida desde que o ruído não ultrapasse 1=0,4V. 14

15 T.4 - FAMÍLIA CMOS A tensão de alimentação para circuitos da família CMOS pode variar no intervalo de 5 a 15 V. A corrente de entrada requerida por uma porta é da ordem de 1pA enquanto que a corrente de saída é da ordem de 1 ma, dando origem a um fan-out enorme. Para as mesmas condições de carga e tensão de alimentação que as portas TTL, uma porta CMOS tem um atraso de propagação da ordem de 50 a 100 ns. As margens de ruído para uma alimentação de 5 V são de cerca de 1 V e aumentam para tensões de alimentação maiores. 15

16 O consumo de potência numa porta CMOS é praticamente nulo quando a saída se mantém fixa. No entanto se a saída varia com uma frequência de 105 Hz (para uma carga típica de 50 pf) a potência dissipada é da ordem de 0,2 mw. TTL e CMOS no mesmo circuito Quando uma porta TTL acciona portas CMOS, não existem problemas de fan-out, mas o nível de saída V OH não é suficientemente alto para a porta CMOS. Quando uma porta CMOS acciona portas TTL, os níveis de saída são adequados, mas a corrente de saída fornecida pela porta CMOS pode não ser suficiente. Neste caso interpõem-se portas especiais denominadas buffers. 16

17 As séries CMOS mais utilizadas são a 4000, a 54HC/74HC e a 54HCT/74HCT. Estas duas mais rápidas que a primeira, e a última com níveis TTL. 17

18 T.5 - FAMÍLIA ECL A família ECL opera com uma tensão de alimentação negativa de -5,2 V. Os níveis lógicos são portanto negativos, tendo os valores de -0,75 V e -1,6V. O fan-out é da ordem de 25, e as margens de ruído da ordem de 0,3 V. O atraso de propagação é da ordem de 2 ns, e a dissipação da ordem de 25 mw por porta. Existem ainda as séries ECL II e ECL III com atrasos da ordem de 4 ns e 1 ns, respectivamente. 18

19 T.6 - INTERRUPTOR LÓGICO O transistor funciona como interruptor lógico, conduzindo ou não, por acção de uma tensão de entrada. 5 V 5 V R R V H ou V L R 0 V V O V H ou V L 0 V S V O Interruptor lógico A S=A Fig 82 19

20 Quando a tensão de entrada for V L, o transistor não conduz, pelo que a saída é V O =V CC. Quando a tensão de entrada for V H, o transistor conduz, pelo que a saída é V O =0 V. 20

21 O circuito de 2 interruptores da figura seguinte representa uma porta NOR com equação S=A +B. 5 V R A B S S A B A B S Fig 83 21

22 wired AND O circuito da figura seguinte representa também uma porta NOR com equação S=A +B. Sem a ligação entre as duas saídas, os dois circuitos individuais teriam à saída, A e B. A ligação a tracejado, na prática, adicionou um circuito AND, pois S= A. B A +B. Esta ligação tem o nome de wired-and, e apenas pode ser feita utilizando circuitos com open collector (colector aberto). 22

23 Se houver muitas portas e todas as chaves estiverem ligadas excepto uma, a corrente originada pela pequena resistência equivalente queima o transistor que está a conduzir. Em circuitos que utilizem portas com open collector a resistência (única) é dimensionada à medida. 23

24 5 V R S wired AND A A S=A.B 0 V B 5 V S=A.B R S B 0 V Fig 84 24

25 T.7 - SAÍDA TOTEM-POLE Sob um ponto de vista, o interruptor lógico é a configuração ideal para a saída de uma porta TTL. Quando a saída está no nível baixo a porta deve consumir a corrente do circuito accionado. Efectivamente, quando a saída está a 0, o interruptor está fechado e a corrente escoa-se para a massa. Quando a saída está no nível alto, a porta deve fornecer corrente ao circuito accionado. Efectivamente quando a saída está a 1, o interruptor está aberto e a corrente flui através da resistência. 25

26 Vcc Vcc Vcc R R Fornece corrente R Consome corrente C Fig 85 26

27 Contudo o interruptor lógico tem capacidades parasitas, que diminuem a velocidade da porta. Estas capacidades não causam problemas na transição da saída de 1 para 0, pois o condensador descarrega rapidamente quando o interruptor fecha. Os problemas aparecem na transição da saída de 0 para 1, pois a saída só atinge o valor alto depois do condensador carregar, e este carrega lentamente devido à resistência. 27

28 Para aumentar a velocidade de carga do condensador, a resistência pode ser substituída por um segundo interruptor. Esta nova configuração tem o nome de saída totem- -pole. Quando A tem o nível baixo, I1 está aberto e I2 fechado, estando a saída no nível alto. Quando A tem o nível alto, I1 está fechado e I2 aberto, estando a saída no nível baixo. 28

29 Vcc I2 S=A A I1 Fig 86 29

30 T.8 - SAÍDA TRI-STATE A configuração com saída totem-pole pode ser modificada, obtendo-se o circuito seguinte. Vcc I2 S=A A I1 enable Fig 87 30

31 Quando a linha de controle (enable) estiver a 1, o circuito funciona exactamente como a configuração totem-pole, ou seja, a entrada A é negada à saída. Quando a linha de controle estiver a 0, os 2 interruptores ficam abertos, e a saída fica totalmente desligada do circuito (estado de alta- -impedância). Desta forma a saída S pode tomar 3 estados: os 2 estados lógicos 0 e 1 (quando enable=1), e o estado de alta-impedância (quando enable=0). 31

32 Saídas de 3 estados enable enable enable Fig 88 32

33 T.9 - EXEMPLOS DE PORTAS EM CIRCUITOS INTEGRADOS Porta NOR tripla de 3 entradas ('27) e porta NAND de 13 entradas ('133) V CC V CC GND GND 8 Fig 89 33

34 Porta NAND quad de 2 entradas ('00) e buffer quad com saída tri-state (3 estados) activada a 0 ('125) V CC V CC GND GND Fig 90 34

35 Porta XOR quad ('136) (os asteriscos indicam saídas com open collector) e porta AOI (AND-OR- INVERT) dupla de 2 e 3 entradas ('51) V CC V CC * * * * GND GND Fig 91 35

36 O apóstrofo antes do número do dispositivo representa a família e a série. Por exemplo, a porta 74LS02 é representada por '02. Os termos duplo, triplo, quad e hex indicam que o circuito integrado contém 2, 3, 4 ou 6 unidades idênticas independentes, respectivamente. Alguns circuitos integrados SSI Número do circuito Descrição '00 NAND quad de 2 entradas '02 NOR quad de 2 entradas '03 NAND quad de 2 entradas open collector '04 Inversor hex '05 Inversor hex open collector '08 AND quad de 2 entradas 36

37 '09 AND quad de 2 entradas open collector '20 NAND duplo de 4 entradas '21 AND duplo de 4 entradas '27 NOR triplo de 3 entradas '30 NAND de 8 entradas '32 OR quad de 2 entradas '37 Buffer NAND quad de 2 entradas '38 Buffer NAND quad de 2 entradas - o. c. '51 AOI duplo de 2 e 3 entradas '126 Buffer quad com saída tri-state '136 XOR quad de 2 entradas open collector 37

38 Os buffers são portas que podem drenar mais corrente do que as portas normais e são usados quando é necessário um fan-out superior ao normal. A maioria dos circuitos SSI têm 14 pinos, mas algumas têm 16 pinos. Dois pinos são usados para alimentação: V CC e GND. 38

39 T.10 - SINAIS DE CONTROLE Uma entrada de uma porta pode ser considerada como uma linha de controle. E A B C S Fig 92 Na porta NAND da figura, a entrada E (enable) funciona como linha de controle e as entradas A, B e C funcionam como linhas de dados. Quando E=1, a porta funciona como um NAND de 3 entradas. Quando E=0, a porta tem sempre saída 1, ou seja, é independente da variação das entradas A, B e C. E A B C S 39

40 Na porta NOR da figura, a entrada E (enable) funciona também como linha de controle e as entradas A, B e C como linhas de dados. Quando E=0, a porta funciona como um NOR de 3 entradas. Quando E=1, a porta tem sempre saída 0, ou seja, é independente da variação das entradas A, B e C. No caso da porta NAND a linha enable é activa a 1. No caso da porta NOR a linha enable é activa a 0. Quando se trata de circuitos MSI e LSI, não é possível nem necessário mostrar todas as portas incluídas no circuito. 40

41 Neste caso utilizam-se rectângulos para representar os circuitos. Para indicar que uma linha de controle é activa a 0 coloca-se o símbolo de inversão no ponto em que a linha de controle entra no rectângulo. 41

42 EN EN A B C S A B C S EN EN A B C S A B C S Fig 93 42

43 O primeiro circuito tem a linha EN (enable) activa a 1. O segundo circuito tem a linha EN activa a 0. Dá a ideia (errada) de que existe um inversor entre a linha de controle e o circuito. O terceiro circuito é idêntico ao segundo mas utiliza uma simbologia mais recente. Deste modo já não persiste a ideia de que existe um inversor à entrada do circuito. O quarto circuito tem a linha EN activa a 0. Difere dos outros circuitos na saída que é agora activa a 0. 43

44 As terminologias EN e EN têm uma limitação. Se no diagrama de um circuito lógico aparecer uma linha EN, e se esta linha for aplicada a um circuito desconhecido, não é possível saber se EN é uma linha de controle activa a 0 ou a negação de uma linha de controle activa a 1. nível ALTO (H) nível BAIXO (L) t1 t2 t3 tempo Fig 94 44

45 Se o sinal EN tiver a forma da figura acima, será impossível saber se o circuito é accionado no intervalo t 1 a t 2 ou no intervalo t 2 a t 3. Para contornar esta limitação utiliza-se a terminologia EN-H para substituir EN EN-L para substituir EN EN-H é um sinal activo e tem o valor 1 quando estiver a 1 (high). EN-L é um sinal activo e tem o valor 1 quando estiver a 0 (low). 45

46 Se o sinal de controle disponível for EN-H e a entrada do circuito precisar de um sinal de controle activo a 0 (símbolo inversor ou meia- -flecha) é necessário interpor um inversor para inverter os níveis de tensão. Tem-se: EN-H = EN-L = EN -L EN-L = EN-H = EN -H 46

47 T.11 - DESCODIFICADORES E CODIFICADORES T Descodificador Um descodificador é um circuito com n entradas e 2n saídas. Para cada combinação das entradas, apenas uma saída está activa. 47

48 A 1 A 0 O 0 O O 1 2 A 1 A 0 O 0 O 1 O 2 O O 3 Fig 95 Um descodificador com 2 entradas tem 4 saídas. 48

49 Para a combinação A 1 =A 0 =0, tem-se O 0 =1 e O 1 =O 2 =O 3 =0. Para a combinação A 1 =0 e A 0 =1, tem-se O 1 =1 e O 0 =O 2 =O 3 =0, etc. Nem sempre é necessário apresentar as 2n saídas do descodificador. No caso de um descodificador de BCD (Binary Coded Decimal) para decimal, há 4 entradas mas apenas 10 saídas são relevantes, pois as combinações de 10 a 15 nunca são apresentadas à entrada. 49

50 Descodificador de 3 entradas por 8 saídas ('138) A A A E E E O 7 O O O O O O O Fig 96 50

51 O descodificador '138 é fabricado num CI com 16 pinos, sendo a massa o pino 8 e V CC o pino 16. Utiliza portas NAND em vez de portas AND pelo que as saídas são activas a 0. Cada porta NAND tem 4 entradas, sendo uma das entradas de controle (enable). A entrada de controle tem a função E.E.E Estas linhas de controle podem ser utilizadas para permitir o funcionamento do descodificador para uma linha de controle a 1, a 0 ou para uma combinação específica dos sinais de controle. 51

52 Símbolo lógico do descodificador '138 E E E A A A EN O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 Fig 97 52

53 Simbolismo funcional para o ' & X/Y EN Fig 98 53

54 No simbolismo funcional, os pinos de entrada estão à esquerda e os de saída estão à direita. Os números na extremidade dos pinos são os números dos pinos. A indicação X/Y mostra que o circuito é um descodificador. As entradas de endereço são os pinos 1, 2 e 3 que têm os pesos 1, 2 e 4, como indicado. A soma dos pinos de entrada activos determina a saída que é activada. As saídas são activas a 0. O rectângulo marcado com & e a indicação EN mostram que as entradas 4, 5 e 6 são operadas por uma porta AND (&) de forma a obter-se a linha enable. 54

55 Descodificador duplo de 2 entradas para 4 saídas, com unidades independentes ('139) EN X/Y EN X/Y Fig 99 55

56 Descodificador duplo de 2 entradas para 4 saídas, com entradas compartilhadas ('155) X/Y Entradas partilhadas pelas 2 unidades abaixo 2 1 & EN & EN Fig

57 T Codificador Um codificador executa a função inversa à do descodificador. As entradas de um codificador têm a característica de, em cada instante, apenas uma estar activa. A cada linha de entrada corresponde uma palavra de código nas linhas de saída. Tabela de verdade de um codificador possível de 4 entradas I 3 I2 I 1 I0 A 7 A 6 A 5 A4 A 3 A 2 A1 A

58 Circuito correspondente I 3 I 2 I I 1 0 A 0 A 1 A 2 A 3 A 4 A 5 A 6 A7 Fig

59 Codificador de 8 entradas para 3 saídas I I I I 1 I I 3 I 2 I 0 EN A 0 A 1 A 2 Fig

60 T.12 - CONVERSORES Um conversor é um circuito utilizado para fazer a tradução entre 2 códigos. Pode ser construído ligando um descodificador e um codificador em cascata. Uma palavra de código à entrada do descodificador é representada por uma linha à saída deste. Esta linha é codificada no circuito codificador dando origem à nova palavra de código. 60

61 A 0 A 1 Descodificador Z 0 Z 1 Codificador B 0 B 1 A m-1 Z n-1 B k-1 Fig 103 Um exemplo interessante de conversor de código é o tradutor de BCD para display de 7 segmentos. 61

62 Display de 7 segmentos (exemplos) a b c d e f g f e a g d b c b c a g d b c a b c Fig 104 O display tem 7 linhas, correspondendo cada uma a um segmento. Cada segmento é um LED (díodo emissor de luz). O conversor de código terá 4 linhas de entrada e 7 de saída. 62

63 Para a combinação de entrada A 3 A 2 A 1 A 0 =0000, deverá activar os segmentos a, b, c, d, e e f. Para a combinação de entrada A 3 A 2 A 1 A 0 =0001, deverá activar os segmentos b e c. Para a combinação de entrada A 3 A 2 A 1 A 0 =0010, deverá activar os segmentos a, b, d, e e g. Etc. O conversor "BCD - display de 7 segmentos" é normalmente denominado apenas por descodificador. Existe em CI na família TTL com as designações '46, '47, '48 e '49, com saídas em open collector ou não. 63

64 T.13 - MULTIPLEXERS E DEMULTIPLEXERS T Multiplexer Um multiplexer executa a função indicada na figura abaixo. A partir de uma entrada de controle, o comutador lógico selecciona apenas uma entrada para ser ligada à saída. Comutador lógico Fig

65 Implementação de um multiplexer com portas S S 1 0 I 0 I 1 I 2 Z I 3 Fig

66 Neste multiplexer, uma das quatro entradas é seleccionada, e ligada à saída, a partir de duas entradas de controle. Para 2n entradas são necessárias n linhas de controle. As linhas de selecção determinam qual das portas AND é seleccionada. Caso A 1 =A 0 =0, a porta seleccionada é a primeira, logo a entrada I 0 é colocada à saída do AND. As outras portas AND têm sempre saída 0, pelo que a porta OR, ou seja a saída do multiplexer, reflecte apenas o valor da entrada I 0. 66

67 Caso A 1 =0 e A 0 =1, a porta seleccionada é a segunda, logo a entrada I 1 é colocada à saída do AND. As outras portas AND têm sempre saída 0, pelo que a porta OR, ou seja a saída do multiplexer, reflecte apenas o valor da entrada I 1. Etc. 67

68 O multiplexer é uma estrutura AND-OR a 2 níveis, logo pode ser usado como gerador de funções. Cada uma das entradas corresponde a um termo mínimo. A entrada I 0 corresponde ao termo m 0. A entrada I 1 corresponde ao termo m 1. Etc. As variáveis da função são as linhas de selecção do multiplexer. 68

69 Exemplo Para gerar a função Z=S 1 +S 1 S 0 expande-se Z em termos mínimos Z = S 1 (S 0 +S 0 )+S 1 S 0 = S 1 S 0 +S 1 S 0 +S 1 S 0 = m 1 +m 0 +m 2 Basta então colocar as entradas I 1 =I 0 =I 2 =1 e I 3 =0 para se obter a função pretendida. 69

70 Multiplexer de 8 entradas ('251) S S S I I I I I I I I G W=Y Y Fig

71 Símbolo lógico do multiplexer tipo ' G S 0 S 1 S 2 I 0 I 1 I 2 W I 3 I 4 Y I 5 I 6 I Fig

72 Símbolo funcional do multiplexer ' EN MUX G Fig

73 Os CI's '151 e '251 são multiplexers de 8 entradas para 1 saída, diferenciando-se pelo facto do '251 possuir saídas tri-state. Ambos possuem uma linha de enable activa a 0, e 2 linhas à saída sendo uma o complemento da outra. No símbolo funcional, a palavra MUX identifica o multiplexer. O pino 7 é a entrada de enable. Os triângulos nas saídas (pinos 5 e 6) indicam que estas são do tipo tri-state. As entradas de selecção (pinos 9, 10 e 11) têm os pesos 20, 21 e

74 O símbolo 0 7 indica que as entradas de selecção podem seleccionar, consoante o seu valor, as entradas de dados numeradas de 0 a 7. O símbolo G indica que entre as entradas de dados e as entradas de selecção existe uma dependência do tipo AND. 74

75 Multiplexer quádruplo de 2 para 1 ('157) 15 1 EN 0 G MUX Fig 110 O CI '157 contém 4 multiplexers de 2 entradas para 1 saída. Tem uma única entrada de selecção e apenas uma linha de enable para os 4 multiplexers. 75

76 T Demultiplexer O demultiplexer tem a função inversa do multiplexer, como mostra a figura abaixo. Comutador lógico Fig 111 Num demultiplexer, a entrada pode ser ligada a qualquer das saídas, dependendo apenas das linhas de selecção que fazem o papel de comutador lógico. 76

77 Estrutura lógica de um multiplexer de 1 entrada para 4 saídas S S O 0 I 01 O 1 10 O 2 11 O 3 Fig

78 T.14 - SISTEMAS DE MULTIPLEXAGEM Os multiplexers são muito utilizados em telecomunicações, para transmitir numa única linha a informação proveniente de várias linhas de dados. 78

79 Sistema de multiplexagem por divisão do tempo (TDM) I 0 I 1 Multiplexer D Demultiplexer O 0 O 1 I n-1 O n-1 Selecção Ck Selecção Fig 113 Apenas é necessária uma linha de dados para transmitir a informação correspondente às n linhas de entrada. A linha Ck sincroniza as entradas de selecção. 79

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN TRANSISTORES CMOS PORTAS TRI-STATE TEMPOS DE PROPAGAÇÃO LÓGICA POSITIVA

Leia mais

Famílias de Circuitos Lógicos

Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos Nikolas Libert Aula 3 Eletrônica Digital ET52C Tecnologia em Automação Industrial Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos As características construtivas

Leia mais

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor UFJF Fabrício FABRICIO Campos CAMPOS 8.7) Tecnologia MOS MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor MOSFET - Metal Oxide Semiconductor

Leia mais

Famílias Lógicas I Características Gerais

Famílias Lógicas I Características Gerais Famílias Lógicas I Características Gerais SISTEMAS DIGITAIS II Prof. Marcelo Wendling Nov/10 Texto base: Sistemas Digitais Tocci (7ª edição). Capítulo 8. 1 Introdução Com a vasta utilização dos Circuitos

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

8.4) Características da Série TTL Existem diversas subfamílias com diferentes características de capacidade, velocidade e potência TTL PADRÃO, 74 Não são mais indicados, outros dispositivos têm desempenho

Leia mais

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10.

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10. 1 Coelh ho, J.P. @ Sistem mas Digita ais : Y20 Sistemas de Numeração e Códigos Binários sistema de numeração que permitia, através de dez símbolos distintos (algarismos), representar uma determinada grandeza

Leia mais

Implementação de Funções Lógicas com Circuitos Integrados

Implementação de Funções Lógicas com Circuitos Integrados Implementação de Funções Lógicas com Circuitos Integrados Simbologias: Clássica e IEEE/ANSI Famílias Lógicas Existem várias tecnologias para fabricar circuitos integrados digitais, conhecidas por famílias

Leia mais

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Famílias Lógicas RTL (Lógica Resistor-Transistor) (obsoleta) DL (Lógica Diodo) (obsoleta) DTL (Diode Transistor Logic) (obsoleta) TTL (Lógica

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes 9/3/25 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes LAB MANUSEIO DE CI S DIGITAIS & OPERAÇÕES E FUNÇÕES LÓGICAS

Leia mais

Eletrônica Digital. Prof. Arthur Braga

Eletrônica Digital. Prof. Arthur Braga Eletrônica Digital Prof. Arthur Braga Tópicos Transistor Bipolar Características Básicas de CIs Digitais Terminologia de CIs Digitais A Família Lógica TTL Circuito Lógico Básico NAND TTL Ações de absorção

Leia mais

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Famílias Lógicas RTL (Lógica Resistor-Transistor) (obsoleta) DL (Lógica Diodo) (obsoleta) DTL (Diode Transistor Logic) (obsoleta) TTL (Lógica

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONENTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN LÓGICA POSITIVA ELEMENTOS DE TECNOLOGIA - 3 CIRCUITOS INTEGRADOS

Leia mais

CARACTERIZAÇÃO DE PORTAS LÓGICAS

CARACTERIZAÇÃO DE PORTAS LÓGICAS CARACTERIZAÇÃO DE PORTAS LÓGICAS E.T.M./2001 (revisão) R.C.S./2002 (revisão) E.T.M./2003 (revisão da parte experimental) E.T.M./2004 (revisão) E.T.M. e R.C.S./2005 (reorganização) RESUMO Esta experiência

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto

9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto Sumário: Projecto de um circuito digital Portas lógicas universais Famílias lógicas LEI FÍSICA 1 Projecto de um circuito digital: 1. Traduzir o problema numa tabela de verdade 2. Obter da tabela, a função

Leia mais

Instituto Federal de Educação, Ciência e Tecnologia de SC

Instituto Federal de Educação, Ciência e Tecnologia de SC Instituto Federal de Educação, Ciência e Tecnologia de SC FAMÍLIA DE CIRCUITOS LÓGICOS Profa. Fernanda Argoud Fev., 2013 Componentes Eletrônicos Até 1955: diodos e válvulas Válvulas: grandes, alto consumo

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 4 Portas Lógicas Prof. Leonardo Augusto Casillo Analisando o circuito (1)... A Acesa Apagada S Apagada Acesa O emissor do transistor

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 1. Caracterização Elétrica e Temporal 1.1. Portas Lógicas e Circuitos Integrados Digitais As funções lógicas podem ser implementadas de maneiras diversas, sendo

Leia mais

CAPÍTULO 3 - PORTAS LÓGICAS

CAPÍTULO 3 - PORTAS LÓGICAS CAPÍTULO 3 - PORTAS LÓGICAS 1- OBJETIVO : Oferecer o primeiro contato com os circuitos integrados da família TTL e a análise do funcionamento das portas lógicas básicas. 2- INTRODUÇÃO : Nesta aula analisaremos

Leia mais

6. Natureza dos circuitos digitais

6. Natureza dos circuitos digitais Sumário: Electrónica digital Circuitos integrados LEI FÍSICA 1 Electrónica digital: Electrónica digital é o conjunto de determinadas técnicas e dispositivos integrados, que se utilizam principalmente na

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers

Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Aula Anterior Na aula anterior: Noção de circuito combinatório; Tempo de propagação num circuito;

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO 2016 1 Famílias lógicas Definição Entende - se por famílias de circuitos lógicos, os tipos de estruturas internas que nos permitem a confecção destes blocos em circuitos

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

Dispositivos de Chaveamento

Dispositivos de Chaveamento Dispositivos de Chaveamento Raul Queiroz Feitosa Objetivo Ilustrar os conceitos, a estrutura e o comportamento dos circuitos lógicos que realizam as funções de chaveamento. 2 1 Conteúdo Introdução Representação

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL

LABORATÓRIO DE ELETRÔNICA DIGITAL UFPA / ITEC / FEE LABORATÓRIO DE ELETRÔNICA DIGITAL Professor: Daniel Cardoso Circuitos Integrados e Famílias Lógicas TTL e CMOS A implementação de circuitos lógicos com dispositivos discretos (diodos,

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite,

Leia mais

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic Circuitos Integrados Características Principais: Tecnologia: CMOS, TTL, etc Velocidade Tensão, Margem de Ruído e Corrente Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão.0 - Português Aula N o 04: Título: Sumário: Elementos de Tecnologia e Funções Lógicas Elementos de tecnologia (circuitos integrados, amílias

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

CURSO DE ELETRÔNICA DIGITAL FAMÍLIAS DE CIRCUITOS LÓGICOS DIGITAIS

CURSO DE ELETRÔNICA DIGITAL FAMÍLIAS DE CIRCUITOS LÓGICOS DIGITAIS LIÇÃO 3 FAMÍLIAS DE CIRCUITOS LÓGICOS DIGITAIS Na lição anterior conhecemos os princípios simples da Álgebra de Boole que regem o funcionamento dos circuitos lógicos digitais encontrados nos computadores

Leia mais

Totem Pole, Coletor Aberto e Tristate

Totem Pole, Coletor Aberto e Tristate Departamento de Engenharia Elétrica e de Computação - EESC-USP SEL-415 Introdução à Organização dos Computadores Totem Pole, Coletor Aberto e Tristate Aula 2 Profa. Luiza Maria Romeiro Codá Autor: Dr.

Leia mais

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas Os Sistemas Digitais são constituídos a partir de portas. O principal factor determinante da velocidade com que um Sistema Digital pode funcionar é a velocidade com que operam as portas. O factor mais

Leia mais

CI's das família TTL e CMOS

CI's das família TTL e CMOS Aula 04 CI's das família TTL e CMOS Prof. Tecgº Flávio Murilo 30/04/13 1 Famílias lógicas O que diferencia as famílias lógicas é o material no qual os circuitos integrados são construídos. RTL - Lógica

Leia mais

SÉRIE DE PROBLEMAS: CIRCUITOS COMBINACIONAIS BÁSICOS.

SÉRIE DE PROBLEMAS: CIRCUITOS COMBINACIONAIS BÁSICOS. A 1. Determine as margens de ruído dos níveis baixo e alto para a série HC da família CMOS, cujos parâmetros são os seguintes, quando alimentada com uma fonte de tensão de 5 Volt: Tensão mínima na saída

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite, perante uma combinação

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto R = RESISTÊNCIA É A OPOSIÇÃO A CIRCULAÇÃO DA CORRENTE GERADA POR UMA TENSÃO OU DIFERENÇA DE POTENCIAL (medido em ohms) I = CORRENTE FLUXO DE ELÉTRONS DO

Leia mais

Aula 3. Profa. Luiza Maria Romeiro Codá

Aula 3. Profa. Luiza Maria Romeiro Codá Aula 3 Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação Sistemas digitais: as variáveis estão limitadas a um número finito de valores (variação discreta) Sistemas analógicos:

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2014 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018)

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) PCS 3115 (PCS2215) Sistemas Digitais I Tecnologia CMOS Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) Nota: as imagens de Pokémons que aparecem nesta aula

Leia mais

Aula 2. Profa. Luiza Maria Romeiro Codá

Aula 2. Profa. Luiza Maria Romeiro Codá Aula 2 Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação Sistemas digitais: as variáveis estão limitadas a um número finito de valores (variação discreta) Sistemas analógicos:

Leia mais

OBJETIVOS MATERIAL UTILIZADO

OBJETIVOS MATERIAL UTILIZADO OBJETIVOS Esta aula prática tem como objetivo apresentar aos alunos as portas lógicas TTL (Transistor-Transistor Logic). Através de montagens eletrônicas simples no protoboard, deverão ser verificados

Leia mais

Portas Tristate e Coletor/ Dreno Aberto

Portas Tristate e Coletor/ Dreno Aberto SEL-0415 Introdução à Organização de Computadores SEL/EESC-USP Grupo de Sistemas Portas Tristate e Coletor/ Dreno Aberto Aula 3 Prof. Dr. Marcelo Andrade da Costa Vieira MODELO DE VON NEUMANN SEL/EESC-USP

Leia mais

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS 1.1- Introdução ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS Estudamos até o momento as diversas operações lógicas sem nos preocuparmos muito com os elementos utilizados

Leia mais

4.9 Características Básicas dos CIs Digitais

4.9 Características Básicas dos CIs Digitais CIs digitais são uma coleção de resistores, diodos e transistores fabricados em um pedaço de material semicondutor (geralmente silício), denominado substrato, comumente conhecido como chip. CIs digitais

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Aula 3. Profa. Luiza Maria Romeiro Codá Profa. Dra. Maria Stela Veludo de Paiva

Aula 3. Profa. Luiza Maria Romeiro Codá Profa. Dra. Maria Stela Veludo de Paiva Aula 3 Profa. Luiza Maria Romeiro Codá Profa. Dra. Maria Stela Veludo de Paiva Sistemas digitais: as variáveis estão limitadas a um número finito de valores (variação discreta) Sistemas analógicos: as

Leia mais

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI FACULDADE FUCAPI Eletrônica Digital Circuitos Combinacionais, M.Sc. Doutorando em Informática (UFAM) Mestre em Engenharia Elétrica (UFAM) Engenheiro de Telecomunicações (FUCAPI) Famílias Lógicas 2 Famílias

Leia mais

Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando

Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando 1. Circuitos Lógicos 1.1. Sistemas digitais Um sistema é um conjunto de partes que se inter relacionam funcionando como um todo, reagem a estímulos externos e em função destes geram saídas, pode-se comparar

Leia mais

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 02: Famílias Lógicas

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 02: Famílias Lógicas AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE CIRCUITOS DIGITAIS Prof. Sérgio F. Ribeiro

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO Eletrónica Digital Fundamentos e Projeto Acxcro MANUEL RAPOSO AMARAL EDIÇÕES SíLABO , Indice Prefácio 13 Capítulo 1 Introdução 1.1. Abstração digital 1.2. Processo de conversão de um sinal analógico para

Leia mais

PCS 3115 (PCS2215) Objetivos Parte 1

PCS 3115 (PCS2215) Objetivos Parte 1 PCS 3115 (PCS2215) Sistemas Digitais I Módulo 04 Tecnologia CMOS Prof. Dr. Marcos. Simplicio Jr. versão: 3.1 (Jan/2018) Nota: as imagens de Pokémons que aparecem nesta aula são meramente ilustrativas.

Leia mais

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico Funções Lógicas I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-02 José Costa (DEI/IST) Funções Lógicas I 1 Sumário

Leia mais

13 CIRCUITOS DIGITAIS MOS

13 CIRCUITOS DIGITAIS MOS 13 CIRCUITOS DIGITAIS MOS 13.1. CONCEITOS BÁSICOS 13.1.1. Tecnologias de CIs Digitais e Famílias de Circuitos Lógicos Cada família é fabricada com uma mesma tecnologia, possui a mesma estrutura e oferece

Leia mais

CURSO DE ELETRÔNICA DIGITAL OS FLIP-FLOPS E FUNÇÕES LÓGICAS EM CIRCUITOS INTEGRADOS

CURSO DE ELETRÔNICA DIGITAL OS FLIP-FLOPS E FUNÇÕES LÓGICAS EM CIRCUITOS INTEGRADOS LIÇÃO 7 OS FLIP-FLOPS E FUNÇÕES LÓGICAS EM CIRCUITOS INTEGRADOS Na lição anterior aprendemos como funcionam os principais tipos de flip-flops, verificando que, dependendo dos recursos que cada um possua,

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

PORTAS NOR INTRODUÇÃO TEÓRICA

PORTAS NOR INTRODUÇÃO TEÓRICA PORTAS NOR OBJETIVOS: a) Verificar experimentalmente o funcionamento de uma porta NOR; b) Usar uma porta NOR como um inversor lógico; c) Demonstrar porque uma porta NOR é uma porta lógica universal; d)

Leia mais

Eletrônica (MOS) Prof. Manoel Eusebio de Lima

Eletrônica (MOS) Prof. Manoel Eusebio de Lima Eletrônica (MOS) Prof. Manoel Eusebio de Lima Tecnologias de Circuitos Integrados MOS (Metal - Oxide - Silicon) nmos (N-type MOS) pmos (P-type MOS) CMOS (Complementary - type MOS) Transistor n-mos Em uma

Leia mais

4. Módulos Funcionais de média complexidade Multiplexer Descodificador Implementações alternativas de multiplexer...

4. Módulos Funcionais de média complexidade Multiplexer Descodificador Implementações alternativas de multiplexer... 4. Módulos Funcionais de média complexidade... 4 2 4. Multiplexer... 4 2 4.2 Descodificador... 4 3 4.3 Implementações alternativas de multiplexer... 4 3 4.4 THREE STATE... 4 4 4.5 Expansão de multiplexers

Leia mais

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5 LISTA D XRCÍCIOS D N-671 2004 Matéria da prova é referente a toda à matéria. As listas de exercícios aplicadas durante as aulas são parte integrante desta lista de exercícios, além dos exercícios do livro

Leia mais

Parte 2 CIRCUITOS COMBINACIONAIS

Parte 2 CIRCUITOS COMBINACIONAIS 1 DEFINIÇÕES Parte 2 CIRCUITOS COMBINACIONAIS DEFINIÇÕES 09/08/2017 1 09/08/2017 2 1 DEFINIÇÕES Formalismo matemático 1 DEFINIÇÕES Tipos de lógica binária Lógica booleana Dois valores Lógica binária Escopo

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto Revisão sobre Circuito Resistivo e Lei de Ohms R = RESISTÊNCIA É A OPOSIÇÃO A CIRCULAÇÃO DA CORRENTE GERADA POR UMA TENSÃO OU DIFERENÇA DE POTENCIAL (medido

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

DISPOSITIVOS ESPECIAIS BUFFERS/DRIVERS

DISPOSITIVOS ESPECIAIS BUFFERS/DRIVERS DISPOSITIVOS ESPECIAIS BUFFERS/DRIVERS TRI-STATE PORTAS EXPANSÍVEIS/EXPANSORAS SCHMITT - TRIGGER OBJETIVOS: a) Entender o funcionamento de dispositivos lógicos especiais como: Buffers, Drivers, elementos

Leia mais

CIRCUITOS INTEGRADOS COMERCIAIS - TTL

CIRCUITOS INTEGRADOS COMERCIAIS - TTL CIRCUITOS INTEGRADOS COMERCIAIS - TTL OBJETIVOS: a) conhecer os parâmetros mais importantes da família lógica TTL; b) conhecer faixas de nível lógico c) entender o significado de Fan-out e Fan-in INTRODUÇÃO

Leia mais

Transistor como chave. DP - Exercícios

Transistor como chave. DP - Exercícios Transistor como chave. DP - Exercícios Introdução : Um transistor pode operar como uma chave eletrônica quando opera nas regiões do corte e da saturação. Dependendo da aplicação dessa chave alguns cuidados

Leia mais

Circuitos MSI e LSI e suas aplicações

Circuitos MSI e LSI e suas aplicações Circuitos MSI e LSI e suas aplicações ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI 1/14 De acordo com a classificação dos CI s quanto ao nível de integração, directamente relacionado com o número de

Leia mais

Apostila de Eletrônica Digital ÍNDICE

Apostila de Eletrônica Digital ÍNDICE Apostila de Eletrônica Digital ÍNDICE 1- Introdução Era Digital---------------------------------------------------------- PG 3 2- Números Binários --------------------------------------------------------------

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto LEI DE OHMS e CIRCUITOS RESISTIVOS I = CORRENTE FLUXO DE ELÉTRONS DO POLO PARA O + (medido em amperes: A) V = TENSÃO Capacidade de fluxo de elétrons (medido

Leia mais

SISTEMAS DIGITAIS. Módulo 6 Prof. Celso DRIVER:

SISTEMAS DIGITAIS. Módulo 6 Prof. Celso DRIVER: DRIVER: 1 Todo circuito que tem por finalidade aumentar a potência de um sinal elétrico diminuindo sua impedância de saída ou aumentando a tensão. Pode ser construído com transistores. BUFFER: Usado quando

Leia mais

PCS3515 Sistemas Digitais. 04-Famílias Lógicas e Lógica CMOS

PCS3515 Sistemas Digitais. 04-Famílias Lógicas e Lógica CMOS PCS3515 Sistemas Digitais 04-Famílias Lógicas e Lógica CMOS Capítulo 3 livro texto Com apoio do material dos Prof. Simplício, M Tulio e Cintia 2018 /1 Objetivos Parte 1 Representação física dos níveis

Leia mais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais - Eletrônica digital - Capítulo 2 Circuitos Combinacionais Introdução Lógica para tomada de decisões George Boole (1854): Uma investigação das leis do pensamento Termo álgebra booleana Relacionamento entre

Leia mais

Eletrônica Digital Portas Lógicas

Eletrônica Digital Portas Lógicas Eletrônica Digital Portas Lógicas ELETRÔNICA DIGITAL Portas Lógicas Expressões Booleanas Tabela Verdade Simbologia 3 Portas Lógicas As portas lógicas são componentes básicos da eletrônica digital usados

Leia mais

Aula 1. Funções Lógicas. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 1. Funções Lógicas. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 1 Funções Lógicas SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Representação Numérica: l Utilizada na representação de alguma grandeza física l Pode ser Analógica ou Digital

Leia mais

Introdução aos Sistemas Digitais

Introdução aos Sistemas Digitais Introdução aos Sistemas Digitais LETI, LEE (2014/15 1º Sem.) João Paulo Carvalho joao.carvalho@inesc-id.pt Isto (ainda) não são sistemas digitais... Um interruptor (SPST- Single Pole, Single Throw) e uma

Leia mais

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados FAMÍLIAS LÓGICAS Introdução O desenvolvimento da tecnologia dos circuitos integrados, possibilitando a colocação num único invólucro de diversos componentes já interligados, veio permitir um desenvolvimento

Leia mais

Circuitos digitais Parte 01 Portas lógicas

Circuitos digitais Parte 01 Portas lógicas ircuitos digitais Parte 01 Portas lógicas ampus lto Paraopeba 1 Parte 01 Portas lógicas palavra porta diz respeito a um dispositivo que pode ser aberto ou fechado; também remete à idéia de fluxo. No caso

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

Realização física de circuitos lógicos

Realização física de circuitos lógicos Realização física de circuitos lógicos Circuitos integrados digitais Famílias lógicas Níveis de tensão Atrasos Lógica positiva, negativa e de polaridade Realização usando ROMs 2 1 Acções e processamento

Leia mais

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada.

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. Portas Lógicas Definição Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. sinal de saída e o sinal de entrada tem a mesma característica eléctrica

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão. - Português Aula N o 9: Título: Sumário: Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Descodificadores,

Leia mais

Módulos combinatórios típicos

Módulos combinatórios típicos Módulos combinatórios típicos Circuitos combinatórios Modularidade Descodificadores Codificadores Multiplexadores Realização de Funções Lógicas com Módulos de Média Complexidade 2 1 Os circuitos que temos

Leia mais

ATRASOS DO CMOS. Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

ATRASOS DO CMOS. Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES ATRASOS DO CMOS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 MOSFET G=0V S metal isolante D 0V N P Não há corrente elétrica entre S D N PROJETOS

Leia mais

Noções elementares de electrónica digital. Electrónica digital e electrónica analógica. Principais famílias lógicas. Marcos tecnológicos.

Noções elementares de electrónica digital. Electrónica digital e electrónica analógica. Principais famílias lógicas. Marcos tecnológicos. Noções elementares de electrónica digital Organização: Electrónica digital e electrónica analógica Principais famílias lógicas ndares de saída e andares de entrada olhas de características dos componentes

Leia mais

Códigos, Portas Lógicas e Comportamento Elétrico

Códigos, Portas Lógicas e Comportamento Elétrico Códigos, Portas Lógicas e Comportamento Elétrico Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 26 de março de 2015 1 / 32 Códigos Código: Números, letras ou palavras

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico - Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico - Universidade Técnica de Lisboa Problema 1. [Tempo de Propagação] O tempo de propagação de uma porta lógica indica o tempo que uma variação de valor lógico numa das suas entradas demora a fazer efeito na saída. No caso de um caminho

Leia mais

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1 Capítulo 8 Famílias Lógicas e Circuitos Integrados slide 1 Temas abordados nesse capítulo são: Terminologia de CI Digital em tabelas de dados do fabricante. Características de várias séries TTL. Características

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

CURSO DE ELETRÔNICA DIGITAL OS MULTIVIBRADORES ASTÁVEIS E MONOESTÁVEIS. também são muito importantes em aplicações relacionadas com a Eletrônica

CURSO DE ELETRÔNICA DIGITAL OS MULTIVIBRADORES ASTÁVEIS E MONOESTÁVEIS. também são muito importantes em aplicações relacionadas com a Eletrônica LIÇÃO 8 OS MULTIVIBRADORES ASTÁVEIS E MONOESTÁVEIS Na lição anterior aprendemos como funcionam os principais tipos de flip-flops, verificando que dependendo dos recursos de cada um, eles podem ser empregados

Leia mais

Módulo 3 Circuitos Combinatórios

Módulo 3 Circuitos Combinatórios 1 Sistemas Digitais e Arquitetura de Computadores Módulo 3 Circuitos Combinatórios 1. Visão geral 2017/2018 2 Introdução A evolução das portas lógicas para a constituição dos circuitos digitais combinatórios

Leia mais

SCE Elementos de Lógica Digital I

SCE Elementos de Lógica Digital I SCE - Elementos de Lógica Digital I Tecnologia de Implementação Pro. Vanderlei Bato Sumário Como os transistores operam e ormam comutadores/chaves simples Tecnologia de CI (Circuito Integrado) Portas lógicas

Leia mais

Sistemas Digitais Elementos Básicos de Tecnologia

Sistemas Digitais Elementos Básicos de Tecnologia Sistemas Digitais Elementos Básicos de Tecnologia João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Portas Lógicas O interesse da matéria que se tem vindo a analisar reside

Leia mais