Escola Superior de Tecnologia Instituto Politécnico de Setúbal

Tamanho: px
Começar a partir da página:

Download "Escola Superior de Tecnologia Instituto Politécnico de Setúbal"

Transcrição

1 Escola Superior de Tecnologia Instituto Politécnico de Setúbal Departamento de Engenharia Electrotécnica SISTEMAS DIGITAIS Enunciados de Laboratório José Sousa / João Beirante /02

2 Sumário Trabalho 1 - Operações Lógicas....2 Trabalho 2 - Implementação de Funções Lógicas....4 Trabalho 3 - Somador Inteiro e Somador/Comparador....5 Trabalho 4 - Descodificação, Codificação e Multiplexagem....7 Trabalho 5 -Latches Vs Flip-Flops....8 Trabalho 6 - Multivibradores Integrados Trabalho 7 - Contadores EST/IPS - Escola Superior de Tecnologia de Setúbal 1

3 Trabalho 1 - Operações Lógicas. I Utilizando o componente 74LS04 execute as seguintes montagens e identifique a propriedade apresentada na segunda montagem: S=A_L A S Z 0 1 Z= II Utilizando o componente 74LS08 execute as seguintes montagens e identifique a propriedade apresentada na segunda montagem: A B S 0 0 S=(A.B)_H C_H Z=(A.B.C)_H III Utilizando o componente 74LS32 execute as seguintes montagens e identifique a propriedade apresentada na segunda montagem: C_H S=(A+B)_H Z=(A+B+C)_H A B C Z A B S A B C Z EST/IPS - Escola Superior de Tecnologia de Setúbal 2

4 Trabalho 1 - Operações Lógicas. IV Utilizando os componentes 74LS00 e 74LS02 execute as seguintes montagens e identifique as respectivas funções lógicas: S=(A.B)_L S=(A+B)_L A B S A B S V Faça as duas montagens e conclua qual a relação entre as saídas S e Z. S=(A.B)_L A B S Z Z=A_L+B_L VI Faça as duas montagens e conclua qual a relação entre as saídas S e Z. S=(A+B)_L A B S Z Z=A_L.B_L EST/IPS - Escola Superior de Tecnologia de Setúbal 3

5 Trabalho 2 - Implementação de Funções Lógicas. I Dada a seguinte função: f( A, B, C) ABC ABC AB C a) Simplifique-a algebricamente e implemente-a usando apenas AND's, OR's e NOT s. b) A partir da função simplificada, altere-a de forma adequada a ser implementada usando apenas NOR's. c) Monte o circuito da alinha b) e verifique a tabela de verdade da função. II Realize um circuito combinatório com quatro variáveis de entrada: C, B e A Representam um número de 0 a 7, em código binário, em que A é a variável de menor peso. D Variável de selecção. A variável D escolhe qual é a operação a realizar sobre o número binário x definido por C, B e A : D = 1 Calcula Int ( x ) D = 0 Calcula Int ( x 2 ) em que Int ( y) é o maior inteiro menor ou igual a y. Exemplos: Entradas Saídas D C B A G F E Cálculo de Int ( 42 ) Cálculo de Int ( 3 ) Simplifique as funções e implemente-as com portas lógicas AND, OR e NOT. O resultado deve ser mostrado nas saídas disponíveis da base de trabalho. EST/IPS - Escola Superior de Tecnologia de Setúbal 4

6 Trabalho 3 - Somador Inteiro e Somador/Comparador. I Somador Inteiro: O Somador Inteiro já leva em consideração a existência de um possível transporte da soma de dois bits anteriores. a) Utilizando ANDs, ORs e NOTs, implemente um circuito que realize a adição de três bits A, B e C (C = transporte anterior). b) Realize o circuito seguinte e compare com o circuito da alínea anterior sob os pontos de vista funcional, temporal e do hardware utilizado. A i B i Semi Somador 1 S C Semi Somador 2 S C S i+1 C i C i+1 II Um comparador digital é um dispositivo cujas saídas representam a relação que existe entre duas grandezas binárias. O 74LS85 é um circuito comparador de 4 bits cujas saídas representam (P<Q), (P=Q), (P>Q) em que P e Q são dois números binários com 4 bits. Aplicação prática do comparador. Monte o seguinte circuito: 74LS85 P 3 Q 3 P 2 P 3 Q 3 P 2 Bits mais sigificativos Q 2 NC NC NC NC +5V Q 2 P 1 Q 1 P 0 Q 0 P<Q P=Q P>Q Bits menos sigificativos Saídas P<Q P=Q P>Q EST/IPS - Escola Superior de Tecnologia de Setúbal 5

7 a) Complete a seguinte tabela. Que conclui? Entradas Saídas P 3 Q 3 P 2 Q 2 P<Q P=Q P>Q P<Q P=Q P>Q EST/IPS - Escola Superior de Tecnologia de Setúbal 6

8 Trabalho 4 - Descodificação, Codificação e Multiplexagem. I Realização prática de um codificador com prioridades: a) Projecte um codificador com comando de habilitação (EN), duas entradas (A e B), duas saídas (X e Y) e ainda com sinalização de habilitação das saídas (EO) de acordo com a seguinte tabela e diagrama de bloco: COD EN A B X Y EO A L X X L L L X B H X H H L H Y H H L L H H H L L L L H EN EO Considere que P(A) < P(B), em que P(X) representa a prioridade da variável de entrada X. Utilize o menor número possível de circuitos integrados. b) Exemplifique o funcionamento do circuito através de um diagrama temporal apropriado em que se dê ênfase à funcionalidade da entrada EN e da saída EO. II Construção de um Multiplexer: a) Sabendo que o circuito (Multiplexer ou SELECTOR DE DADOS) da figura junta permite, por conveniente selecção das variáveis de controle S 1 e S 0, seleccionar uma e uma só das entradas A, B, C ou D para a saída Z, projecte o conteúdo da caixa. S 1 S 0 A B C D MUX b) Com o Multiplexer implementado no problema anterior, realize a seguinte função lógica: f ( A, B, C) AB ABC A C Z EST/IPS - Escola Superior de Tecnologia de Setúbal 7

9 Trabalho 5 - Latches Vs Flip-Flops. I Monte o seguinte circuito (Latch SR): S L Q H R L Q L a) Complete o seguinte diagrama temporal: S_L R_L Q_H Q_L b) Pela observação do diagrama temporal é possível afirmar que este circuito não é combinatório. Porquê? c) Este circuito é capaz de memorizar a informação presente nas suas entradas. Porquê? d) Em que situação não se pode prever o estado seguinte? Justifique. EST/IPS - Escola Superior de Tecnologia de Setúbal 8

10 II Monte o Flip-Flop Master-Slave tal como indicado: S_H CK_L R_H Q _H Q _L Q_H Q_L a) Complete o seguinte diagrama temporal: S_H R_H CK_L Q'_H Q'_L Q_H Q_L b) Diga como se deve actuar sobre as entradas para que os valores aplicados a S e a R se propaguem até às saídas. c) Quando se efectua a passagem sinalizada com o resultado final será sempre previsível? Justifique. EST/IPS - Escola Superior de Tecnologia de Setúbal 9

11 Trabalho 6 - Multivibradores Integrados. I Circuito Temporizador 555: a) Utilizando a aplicação típica indicada nas especificações do fabricante para o 555 como monoestável, dimensione o circuito para produzir impulsos de duração aproximada de 10 ms. Aplicando um sinal digital de frequência apropriada na entrada do circuito, registe e explique as formas de onda obtidas na entrada e na saída do circuito e no condensador. b) Utilizando a aplicação típica indicada nas especificações do fabricante para o 555 como astável, dimensione o circuito para produzir um sinal de relógio com frequência aproximada a 10KHz. Registe e explique as formas de onda obtidas na saída do circuito e no condensador. EST/IPS - Escola Superior de Tecnologia de Setúbal 10

12 Trabalho 7 - Contadores. I Utilizando Flip-Flops Master-Slave 74LS76, implemente: a) Um contador binário assíncrono com módulo 16. b) Um contador binário síncrono com módulo 16. II Transforme o módulo de contagem do circuito anterior (alínea b) para módulo 9, sem para isso alterar as ligações já feitas entre os Flip-Flops. Faça essa alteração não impedindo o aparecimento do estado instável 9, ou seja, a contagem deve ser: 0,1,2,...,7,8,(9),0,1,2,...,7,8,(9),0,1,2,... III Utilizando dois contadores integrados 74LS161 montados de modo a terem módulos de contagem diferentes (por exemplo 10 e 16) verifique qual o módulo de contagem e a evolução da sequência de contagem, para as seguintes ligações entre eles: a) Ligação síncrona. b) Ligação assíncrona. EST/IPS - Escola Superior de Tecnologia de Setúbal 11

SISTEMAS DIGITAIS I Enunciados de Laboratório

SISTEMAS DIGITAIS I Enunciados de Laboratório SSTEMAS DGTAS Enunciados de Laboratório Prof. José Sousa 2003/2004 Sumário Trabalho 0 - Operações Lógicas... 2 Trabalho 1 - Tempos de Propagação.... 4 Trabalho 2 - Representação de Circuitos Lógicos...

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica

SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica 2001/2002 Sumário FUNÇÕES LÓGICAS 2 FAMÍLIAS LÓGICAS 7 CIRCUITOS COMBINATÓRIOS 11 LATCHES E FLIP-FLOPS 16 REGISTOS 20 CONTADORES

Leia mais

Hardware. 5- Faça o fluxograma para efectuar o debounced de uma tecla por temporização(20ms). Implemente uma rotina para a leitura da tecla.

Hardware. 5- Faça o fluxograma para efectuar o debounced de uma tecla por temporização(20ms). Implemente uma rotina para a leitura da tecla. 1- Na ligação de Microprocessadores a periféricos são utilizados, básicamente dois métodos, I/O-polling e I/O-interrupt. Quais as vantagens e desvantages de cada método, exemplifique. 2- Qual a diferença

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Laboratório (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Verificação de equivalência de representações através de expressões booleanas, tabelas de verdade e esquemáticos. Contacto com

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / /

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / / Ministério da Educação Centro Federal de Educação Tecnológica do Paraná Departamento Acadêmico de Eletrônica DAELN Curso Superior de Tecnologia em Mecatrônica Disciplina: Eletrônica Digital Aluno: Nº:

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 27 de Janeiro de 22 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Circuitos MSI e LSI e suas aplicações

Circuitos MSI e LSI e suas aplicações Circuitos MSI e LSI e suas aplicações ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI 1/14 De acordo com a classificação dos CI s quanto ao nível de integração, directamente relacionado com o número de

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de ª Época - 24 de Janeiro de 2 Antes de começar

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão. - Português Aula N o 9: Título: Sumário: Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Descodificadores,

Leia mais

Lab2. Germano Maioli Penello IF-UFRJ aula 13.

Lab2. Germano Maioli Penello IF-UFRJ aula 13. Lab2 aula 13 www.if.ufrj.br/~gpenello/lab2_2018-2.html Germano Maioli Penello IF-UFRJ 2018-2 1 Perguntas O que é um circuito multivibrador astável? A. Circuito que gera onda senoidal; B. Circuito que gera

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

ENGª DE ELECTRÓNICA E COMPUTADORES

ENGª DE ELECTRÓNICA E COMPUTADORES ENGª DE ELECTRÓNICA E COMPUTADORES SISTEMAS DIGITAIS II Colectânea de Exercícios de Exame José Sousa 2-22 Sumário MEMÓRIAS 2 MÁQUINAS DE ESTADOS SÍNCRONAS 6 MÁQUINAS DE ESTADOS ASSÍNCRONAS OUTRAS REALIZAÇÕES

Leia mais

P U C E N G E N H A R I A PONTIFÍCIA UNIVERSIDADE CATÓLICA LABORATÓRIO DE SISTEMAS DIGITAIS. Prof. Dr. João Antonio Martino

P U C E N G E N H A R I A PONTIFÍCIA UNIVERSIDADE CATÓLICA LABORATÓRIO DE SISTEMAS DIGITAIS. Prof. Dr. João Antonio Martino P U C PONTIFÍCIA UNIVERSIDADE CATÓLICA E N G E N H A R I A LABORATÓRIO DE SISTEMAS DIGITAIS Prof. Dr. João Antonio Martino Prof. Dr. Aparecido S. Nicolett - V. 2006 PUC - SISTEMAS DIGITAIS - SD - 2006

Leia mais

SISTEMAS DIGITAIS. Exercícios. Ano Lectivo 2009/2010. Representação de Informação

SISTEMAS DIGITAIS. Exercícios. Ano Lectivo 2009/2010. Representação de Informação SISTEMAS DIGITAIS Exercícios Ano Lectivo 2009/2010 Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) c) i) 1101110.101 2 ii) 1010111 2 iii) 0.00101

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA

PONTIFÍCIA UNIVERSIDADE CATÓLICA P U C PONTIFÍCIA UNIVERSIDADE CATÓLICA DEPARTAMENTO DE ENGENHARIA E N G E N H A R I A LABORATÓRIO DE SISTEMAS DIGITAIS I SD I Prof. Dr. Aparecido S. Nicolett Prof. Dr. Sérgio Miranda Paz - Versão: 1. 2016

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Aula 1 Semana 26 a 30 de Setembro - Apresentação 1. Apresentação da disciplina aos alunos: a. Programa da disciplina

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais ª Teste de Novembro de 005 Antes de iniciar o teste leia atentamente esta folha de rosto. Duração

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

SISTEMAS DIGITAIS. bbbbbaaa. 1- Responda convenientemente às seguintes questões: a) Efetue, na base 2, a seguinte operação de multiplicação: [2]

SISTEMAS DIGITAIS. bbbbbaaa. 1- Responda convenientemente às seguintes questões: a) Efetue, na base 2, a seguinte operação de multiplicação: [2] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 23 de Janeiro de 2015 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Sistemas Digitais Ficha Prática Nº 6

Sistemas Digitais Ficha Prática Nº 6 Comparador de Dígitos Binários Circuitos aritméticos: Multiplicador de dois bits Sistemas Digitais Ficha Prática Nº 6 Grupo: Turma: Elementos do Grupo:. Comparador de Dígitos Binários Neste trabalho pretende-se

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers

Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Sistemas Digitais (SD) Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Aula Anterior Na aula anterior: Noção de circuito combinatório; Tempo de propagação num circuito;

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO Eletrónica Digital Fundamentos e Projeto Acxcro MANUEL RAPOSO AMARAL EDIÇÕES SíLABO , Indice Prefácio 13 Capítulo 1 Introdução 1.1. Abstração digital 1.2. Processo de conversão de um sinal analógico para

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite,

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Módulo 4 Circuitos Sequenciais

Módulo 4 Circuitos Sequenciais 1 Sistemas Digitais e Arquitetura de Computadores Módulo 4 Circuitos Sequenciais 2. Noção de célula de memória ou Flip-Flop 3. Tipos de Flip-Flops 2017/2018 2 Introdução 1/3 Neste estudo da lógica sequencial,

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite, perante uma combinação

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

SERVIÇO NACIONAL DE APRENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto Kröeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL

SERVIÇO NACIONAL DE APRENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto Kröeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL SERVIÇO NACIONAL DE AENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto röeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Professor: Carlos Ricardo dos Santos Barbosa Unidade Curricular:

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais