Trabalho prático de Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Trabalho prático de Sistemas Digitais"

Transcrição

1 Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0 (R/C), 1, 2, 3 O relógio tem frequência de 1 Hertz, um impulso por segundo. Enquanto o elevador se estiver a mover o LED movendo está aceso. Quando chegar ao andar desejado e parar, esse LED apaga se e acende se o LED parado. Sempre que o elevador se mover de um andar para outro, o display de 7 segmentos andar é atualizado. O tempo de deslocação entre cada andar é de 1 segundo andar movendo parado Estando no andar 2, indicado no display de 7 segmentos, e pressionando se o botão 0: O LED movendo acende se O LED parado apaga se Após um segundo o display de 7 segmentos indica: 1 Após mais um segundo o display de 7 segmentos indica: 0 O LED movendo apaga se O LED parado acende se

2 O projeto pode ser implementado no Logisim ( no Autodesk circuits ( ou nos kits do laboratório. (à escolha) Nos 30 minutos finais das aulas TP/PLab podem se discutir alternativas de implementação e tirar dúvidas. Se necessário podem se usar os kits de electrónica digital para ensaios. Sugestão de implementação (não obrigatória): Começar por identificar subsistemas e implementá los, por exemplo: Reconhecimento do andar desejado pelo botão pressionado Display do andar corrente Indicação de parado/em movimento Efetuar o movimento Quando terminado, o sistema implementado deve ser apresentado ao professor no turno prático para ser verificada a sua funcionalidade. Se o sistema funcionar, cumprindo todas as especificações, garante 40% da avaliação do TP. Pode ser utilizado todo o material disponível no Logisim, Autodesk circuits ou nos kits: Portas lógicas Flip Flops Contadores binários de n bits Descodificadores binários Descodificadores para display de 7 segmentos Display de 7 segmentos LEDs Botões de pressão ou interruptores Micro processadores ou micro controladores (neste caso terão de ser apresentados tb os programas) e outro que for necessários para minimizar o hardware. Informação sobre os chips, modos de operação, ligações pinos, etc. pode ser consultada na datasheet respectiva. Esta pode ser obtida introduzindo a identificação do chip no Google precedida da palavra datasheet. Por exemplo para o contador Sync UP/Down counter mod10: datasheet 74HC192 Nota: Em cada datasheet há informação sobre como se efetuam as ligações nos chips para se obter a operação que se pretende. Alguns chips podem ter funções diferentes dependendo da configuração.

3 Após a conclusão do projeto cada grupo submeterá um relatório, com no máximo 10 páginas, pelo sistema de entregas acessível a partir da tutoria electrónica de Sistemas Digitais. O relatório terá de incluir: 1) Introdução e objetivos 2) Descrição da implementação, incluindo: i) Opções de implementação e porque foram tomadas ii) iii) iv) Como se relacionam os componentes (subsistemas) utilizados e seu objetivo. Mapas de Karnaugh utilizados para simplificar circuitos, se necessário. O diagrama esquemático do sistema projetado. Pode ser efetuado com: Circuits simulator ( Logisim ( outra aplicação que permita desenho esquemático de circuitos digitais Não se aceitam esquemas desenhados pela mão. v) Foto do projeto no Autodesk circuits ( ou foto da implementação nos kits do laboratório. vi) vii) Se desenvolvido no Autodesk circuits link para o projeto de modo que possa ser acedido, executado e avaliado. Nota: por norma o link é público, mas é melhor verificar. Se desenvolvido no Logisim basta incluir as fotos dos diagramas esquemáticos e anexar junto ao relatório o ficheiro da simulação. 3) Uma estimativa do custo da implementação O custo que se pretende saber é apenas a soma do custo dos chips necessários para a implementação. Custo dos botões e displays não é necessário para apresentar. O custo de cada chip pode ser consultado numa loja online, por exemplo: mas podem se escolher outras. No entanto o custo para a implementação tem de ser calculado com os preços na mesma loja. Se desenvolvido no Logisim, como os componentes são genéricos, escolher um chip que tenha as mesmas funcionalidades ou mais (mas não com menos) que o componente do Logisim. Por exemplo se for necessário 2 FFs tipo D, pode se usar o chip 74LS75, um contador binário o chip 74LS192. Pode se consultar esta informaço no material disponível na loja escolhida e tb na tabela do anexo.

4 A estimativa de custos deverá ser apresentada numa tabela, como a abaixo: Descrição do chip Identificação do chip Preço Unitário ( ) Quantidade Preço Total ( ) Inverter gates 74HC04 0, ,630 Binary to 7 segment CD4511 0, ,478 Binary counter 74HC93 1, ,230 Custo total 2,338 4) Conclusões Que incluem um resumo das opções de implementação tomadas e porque o foram. Anexo: Chips incluídos no Kit do laboratório A identificação dos chips do kit encontra se na face oposta do kit, escrita em cada chip. Pode se também consultar no manual do kit, pág. 1 a identificação de cada chip. No final deste enunciado estão também as referências dos chips do kit. Por exemplo o contador Sync UP/Down counter mod10 tem a referência 74LS192. A sua documentação (datasheet) pode ser consultada em: O contador Binary counter (mod16) tem a referência 74LS93. A sua documentação (datasheet) pode ser consultada em: Nota: Em cada datasheet há informação sobre como se efetuam as ligações nos chips para se obter a operação que se pretende. Alguns chips podem ter funções diferentes dependendo da configuração. A tabela abaixo indica os chips presentes no Kit do laboratório. Informação mais detalhada pode ser obtida introduzindo a identificação do chip no Google precedida da palavra datasheet. Ex: datasheet 74LS93 Descrição Identificação do chip 6 inverters 74LS input AND ports 74LS input NAND ports 74LS input OR ports 74LS32

5 4 2 input AND ports 74LS input NOR ports 74LS input EX OR ports 74LS input (CMOS) NAND ports CD TTL CMOS and CMOS TTL interfaces MM74C906 2 x 2 J K Flip Flops 74LS76 1 Three state buffer 74LS125 2 Bistable latches (Flip Flop type D) 74LS75 1 Synchronous BCD counter 74LS160 1 Synchronous up/down counter 74LS192 1 Binary counter (mod 16) 74LS93 1 BCD decoder and display driver 74LS segment led display HDSP bit shift register 74LS bit comparator 74LS85 1 BCD to decimal decoder 74LS42 1 Encoder 74LS bit pre selector (Thumbwhell switch) PICO D 137 AK bit full adder 74LS83 1 Multiplexer 74LS153 1 DeMultiplexer 74LS bit parity generator 74LS280 1 Monostable 74LS221 1 Clock Generator (1Hz, 1 KHz) 74LS14 8 switches 4/ push buttons 4/ leds TIL pin sockets Several connectors 1 Power supply

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Aula 1 Semana 26 a 30 de Setembro - Apresentação 1. Apresentação da disciplina aos alunos: a. Programa da disciplina

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

CAPÍTULO 7 CONTADORES

CAPÍTULO 7 CONTADORES CAPÍTULO 7 CONTADORES Introdução Contadores Assíncronos (Ripple) MOD número Divisão de Frequência Atraso de propagação nos contadores assíncronos Contadores Síncronos Contadores com MODnumber < 2 N Contadores

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO Eletrónica Digital Fundamentos e Projeto Acxcro MANUEL RAPOSO AMARAL EDIÇÕES SíLABO , Indice Prefácio 13 Capítulo 1 Introdução 1.1. Abstração digital 1.2. Processo de conversão de um sinal analógico para

Leia mais

CIRCUITO INTEGRADO - Família TTL

CIRCUITO INTEGRADO - Família TTL LISTA DE COMPONENTES DO LABORATÓRIO DIDÁTICO DE CIRCUITOS ELÉTRICOS Modelo Descrição Encapsulamento QTDE. 7445 Decodificador BCD para Decimal DIP-14 60 7491 7496 Registrador de deslocamento de 8 bits com

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H)

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) 1 UFCD 6024 Circuitos lógicos Carga horária: 25 horas Caracterizar as diferentes bases de numeração. Representar números nas bases

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Sistemas Digitais Aula Prática Nº 9

Sistemas Digitais Aula Prática Nº 9 Sistemas Digitais Aula Prática Nº 9 Flip-Flops D: implementação de um contador em anel Grupo: Turma: Elementos do Grupo:. Implementação de um contador em anel Os contadores em anel apresentam um padrão

Leia mais

INSTRUMENTAÇÃO E MEDIDAS

INSTRUMENTAÇÃO E MEDIDAS INSTRUMENTAÇÃO E MEDIDAS TRABALHO N.º2 CONTROLO DE UM DISPLAY DE 7 SEGMENTOS ATRAVÉS DA PORTA PARALELA USANDO COMUNICAÇÃO SÉRIE E PARALELA. Duração do trabalho 2 aulas práticas Ano Lectivo 2002/2003 Página

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9

ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9 ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9 voltar ao índice EXSTO TECNOLOGIA 3 Instalada em Santa Rita do Sapucaí,

Leia mais

Aula 8 - Unidade lógica aritmética e Multiplicadores

Aula 8 - Unidade lógica aritmética e Multiplicadores Aula 8 - Unidade lógica aritmética e Multiplicadores Prof. Renan Sebem Disciplina de Eletrônica Digital Graduação em Engenharia Elétrica Universidade do Estado de Santa Catarina Joinville-SC Brasil 15

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Introdução a eletrônica digital, apresentação do curso, cronograma do curso.

Introdução a eletrônica digital, apresentação do curso, cronograma do curso. EMENTA: Ferramentas para simulação e projeto de sistemas digitais. Equipamentos e componentes para montagem de sistemas digitais. Equipamentos para mensuração e teste na implementação de sistemas digitais.

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Contadores síncronos crescentes 2 Contadores síncronos decrescentes 3 Contadores

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Exercícios e Fundamentos com Programmable Logic Devices (PLDs) IVAN JORGE CHUEIRI

Exercícios e Fundamentos com Programmable Logic Devices (PLDs) IVAN JORGE CHUEIRI Exercícios e Fundamentos com Programmable Logic Devices (PLDs) IVAN JORGE CHUEIRI Exercícios e Fundamentos com Programmable Logic Devices (PLDs) Ivan Jorge Chueiri 1 2001 1ª Edição; Curitiba, PR 2 Disciplina:

Leia mais

Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN

Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN xercícios Lista 2 Universidade Tecnológica Federal do Paraná - UTFPR Departamento cadêmico de letrônica DLN Disciplina: L66J - ln Ind. Prof. Gustavo. orba xercícios Lista 2 Turma 43 (terça-feira de manhã).

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Introdução: A capacidade de contar é uma operação fundamental em eletrónica digital. Um contador fornece uma saída binária igual ao número

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

Trabalho Prático Nº3 Porta Paralela

Trabalho Prático Nº3 Porta Paralela Trabalho Prático Nº3 Porta Paralela 1. OBJECTIVOS - Utilização da porta paralela como porto genérico de Entrada e Saída. 2. INTRODUÇÃO A porta paralela é um meio frequentemente utilizado para efectuar

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Sistemas Digitais Ficha Prática Nº Uniformização de circuitos com pontas NAND e NOR

Sistemas Digitais Ficha Prática Nº Uniformização de circuitos com pontas NAND e NOR Sistemas Digitais Ficha Prática Nº 2 Uniformização de circuitos com portas NAND e NOR Simplificação de funções com mapas de Karnaugh Desenho de circuitos digitais Implementação de funções lógicas na forma

Leia mais

LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores i

LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores i DEP. DE ENG.ª ELECTROTÉCNICA E DE COMPUTADORES FACULDADE DE CIÊNCIAS E TECNOLOGIA UNIVERSIDADE DE COIMBRA LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007

Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007 s Teóricas Docente: Ana Cristina Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007 Horário: Quinta-feira das 18h00 às 20h00 (sala O106) OT das 17h00 às 18h00 (sala

Leia mais

Visão geral sobre sistemas digitais

Visão geral sobre sistemas digitais Visão geral sobre sistemas digitais MAT115 Introdução ao Processamento de Dados Professor: Ibirisol Fontes Ferreira DCC: Departamento de Ciência da Computação Todo o material aqui

Leia mais

LAB03 Circuitos digitais combinacionais: alarme do museu, multiplexers, e descodificador de 4 bits para visor de 7 segmentos i

LAB03 Circuitos digitais combinacionais: alarme do museu, multiplexers, e descodificador de 4 bits para visor de 7 segmentos i DEP. DE ENG.ª ELECTROTÉCNICA E DE COMPUTADORES FACULDADE DE CIÊNCIAS E TECNOLOGIA UNIVERSIDADE DE COIMBRA LAB03 Circuitos digitais combinacionais: alarme do museu, multiplexers, e descodificador de 4 bits

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais.

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. A construção dos CI tem por base um processo tecnológico que, no caso do hardware digital, evoluiu dramaticamente

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

Escola Superior de Tecnologia Instituto Politécnico de Setúbal

Escola Superior de Tecnologia Instituto Politécnico de Setúbal Escola Superior de Tecnologia Instituto Politécnico de Setúbal Departamento de Engenharia Electrotécnica SISTEMAS DIGITAIS Enunciados de Laboratório José Sousa / João Beirante - 2001/02 Sumário Trabalho

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão. - Português Aula N o 9: Título: Sumário: Circuitos combinatórios: descodificadores, codificadores, multiplexers e demultiplexers Descodificadores,

Leia mais

3 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse.

3 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse. UDESC/CCT/DEE Eletrônica Digital 2ª Lista de Exercícios 1 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse. SET RESET Q Q/ OBS 0 0 0 1 1 0 1 1 2 Para

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

P U C E N G E N H A R I A PONTIFÍCIA UNIVERSIDADE CATÓLICA LABORATÓRIO DE SISTEMAS DIGITAIS. Prof. Dr. João Antonio Martino

P U C E N G E N H A R I A PONTIFÍCIA UNIVERSIDADE CATÓLICA LABORATÓRIO DE SISTEMAS DIGITAIS. Prof. Dr. João Antonio Martino P U C PONTIFÍCIA UNIVERSIDADE CATÓLICA E N G E N H A R I A LABORATÓRIO DE SISTEMAS DIGITAIS Prof. Dr. João Antonio Martino Prof. Dr. Aparecido S. Nicolett - V. 2006 PUC - SISTEMAS DIGITAIS - SD - 2006

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I UNIVERSIAE E AVEIRO EPARTAMENTO E ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I Nome: Nº mec. I. [5 valores] Para cada questão proposta existem quatro

Leia mais

Sistemas Digitais Ficha Prática Nº 5

Sistemas Digitais Ficha Prática Nº 5 Sistemas Digitais Ficha Prática Nº 5 Implementação de um conversor BCD de 7 segmentos com: Portas Lógicas e Multiplexers Grupo: Turma: Elementos do Grupo:. Objectivo do trabalho Pretende-se implementar

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

OS CONTADORES DIGITAIS

OS CONTADORES DIGITAIS LIÇÃO 9 OS CONTADORES DIGITAIS 60 Na lição anterior analisamos o princípio de funcionamento de um dos mais importantes blocos da Eletrônica Digital, o flip-flop. Vimos que estes blocos poderiam ter diversos

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC,

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC, MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações REGISTRADORES Marcos Moecke São José - SC, 24-2 SUMÁRIO 6. REGISTRADORES... 1 6.1 REGISTRADORES DO TIPO PORTA PARALELA...1

Leia mais

Sistemas Digitais Apresentação

Sistemas Digitais Apresentação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Apresentação Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel A. Furtado Aulas Teóricas e

Leia mais

MANUAL DE OPERAÇÃO E MANUTENÇÃO. XD102 Eletrônica Digital e CPLD

MANUAL DE OPERAÇÃO E MANUTENÇÃO. XD102 Eletrônica Digital e CPLD 255 MANUAL DE OPERAÇÃO E MANUTENÇÃO O manual de operação e manutenção descreve os circuitos do kit didático, detalhando seu funcionamento. São também apresentados os esquemas elétricos desses circuitos

Leia mais

LABORG. VHDL Projeto cronômetro

LABORG. VHDL Projeto cronômetro LABORG VHDL Projeto cronômetro Enunciado Sumário Diagrama de blocos 2 Enunciado 1. Implemente o hardware para cronômetro de basquete 2. Especificação do cronômetro: Jogo composto de 4 quartos de 15 minutos.

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

AUTOMAÇÃO INDUSTRIAL

AUTOMAÇÃO INDUSTRIAL AUTOMAÇÃO INDUSTRIAL 3º ano Engenharia Mecânica 2º semestre 2007/2008 Guias de Laboratório 1 ATENÇÃO: LEIA ESTE GUIA ATÉ AO FIM POIS TEM MATÉRIAS QUE INCLUEM OS RESTANTES LABORATÓRIOS. SE TIVER DÚVIDAS

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Projetos de Decodificadores

Projetos de Decodificadores Projetos de Decodificadores Para construir decodificadores que passem de qualquer código para outro qualquer basta montarmos a tabela verdade simplificar as expressões de saída e implementarmos o circuito.

Leia mais

SISTEMAS DIGITAIS II Enunciado do Projecto

SISTEMAS DIGITAIS II Enunciado do Projecto SISTEMAS DIGITAIS II Enunciado do Projecto Prof. José Sousa 2005/2006 Sumário Enunciado do Projecto: Processador de 1 bit...2 Regras e Calendário do Projecto...9 Estrutura do Relatório do Projecto...11

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

CURSO DE ELETRÔNICA DIGITAL APLICAÇÃO PARA OS CONTADORES DIGITAIS/DECODIFICADORES

CURSO DE ELETRÔNICA DIGITAL APLICAÇÃO PARA OS CONTADORES DIGITAIS/DECODIFICADORES LIÇÃO 10 APLICAÇÃO PARA OS CONTADORES DIGITAIS/DECODIFICADORES Na lição anterior estudamos os contadores e divisores de frequências que consistem em blocos digitais utilizando flip-flops, elementos fundamentais

Leia mais

Aula 01. Tutorial Proteus. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu.br/otavio-gomes/

Aula 01. Tutorial Proteus. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu.br/otavio-gomes/ Aula 01 Tutorial Proteus Prof. Otávio Gomes otavio.gomes@ifmg.edu.br https://sites.google.com/a/ifmg.edu.br/otavio-gomes/ 1 Proteus PROTEUS é um software CAD (Computer Aided Design), composto de três módulos:

Leia mais

Lista de Materiais. Laboratório P111 BC Resistor ¼ W

Lista de Materiais. Laboratório P111 BC Resistor ¼ W Lista de Materiais Material Material equivalente CMOS Quantidade (máxima por bancada por experiência) Laboratório P111 C547 04 Resistor ¼ W 04 5,6k Resistor ¼ W 02 470 Resistor ¼ W 04 47k Resistor ¼ W

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Quartus II: Modo Esquemático. Leandro Schwarz

Quartus II: Modo Esquemático. Leandro Schwarz Quartus II: Modo Esquemático Leandro Schwarz Requisitos do Projeto Requisitos do Projeto Definição do problema: Uma empresa deseja implementar um semáforo para pedestres, com as seguintes características;

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

Aula 05 Circuitos lógicos combinacionais

Aula 05 Circuitos lógicos combinacionais ELT 054 (LAB) Turmas D1/D2 D3/D4 1º. Sem 2012 Aula 05 Circuitos lógicos combinacionais Objetivo 1. Resolver/simular problemas combinacionais simples usando portas lógicas Introdução Na eletrônica digital

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

Capítulo 7 Contadores e Registradores

Capítulo 7 Contadores e Registradores Capítulo 7 Contadores e Registradores slide 1 Os temas abordados nesse capítulo são: - Operação e características dos contadores síncronos e assíncronos. - Análise e avaliação dos vários tipos de contadores.

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

SISTEMAS DIGITAIS. Exercícios. Ano Lectivo 2009/2010. Representação de Informação

SISTEMAS DIGITAIS. Exercícios. Ano Lectivo 2009/2010. Representação de Informação SISTEMAS DIGITAIS Exercícios Ano Lectivo 2009/2010 Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) c) i) 1101110.101 2 ii) 1010111 2 iii) 0.00101

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Descodificadores Codificadores Multiplexers Demultiplexers

Leia mais